aboutsummaryrefslogtreecommitdiff
path: root/devices/vga.h
diff options
context:
space:
mode:
Diffstat (limited to 'devices/vga.h')
-rw-r--r--devices/vga.h7
1 files changed, 7 insertions, 0 deletions
diff --git a/devices/vga.h b/devices/vga.h
index c2ef2ef..63de309 100644
--- a/devices/vga.h
+++ b/devices/vga.h
@@ -22,3 +22,10 @@ enum vga_color {
void vga_init();
void vga_clear(enum vga_color foreground, enum vga_color background);
+
+void vga_putc(char a);
+void vga_puts(const char *string, int len);
+
+void vga_enable_cursor(unsigned char start, unsigned char end);
+void vga_disable_cursor();
+void vga_update_cursor();