aboutsummaryrefslogtreecommitdiff
path: root/vga.h
diff options
context:
space:
mode:
authorAqua-sama <aqua@iserlohn-fortress.net>2021-02-02 22:27:27 +0200
committerAqua-sama <aqua@iserlohn-fortress.net>2021-02-02 22:27:27 +0200
commit36e8ee0cdaa904ee00710b1d2df16691729cc93d (patch)
tree16e3836af7ef9efa6da1bbde4248ae5ad956bf58 /vga.h
parentlibk: add its own makefile (diff)
downloadkernel.cpp-36e8ee0cdaa904ee00710b1d2df16691729cc93d.tar.xz
Print some multiboot2 information
Diffstat (limited to 'vga.h')
-rw-r--r--vga.h9
1 files changed, 3 insertions, 6 deletions
diff --git a/vga.h b/vga.h
index b08a221..a3274c4 100644
--- a/vga.h
+++ b/vga.h
@@ -27,13 +27,10 @@ public:
~VGA() = default;
void put_char(char c, size_t x, size_t y, uint8_t color = 0);
+ void write(char c) override;
void write(const String &data) override;
- void write(int n);
-
- template <typename... Args> void write(const String &f, const Args &...a) {
- write(f);
- write(a...);
- }
+ void write(int n) override;
+ void write(unsigned int n) override;
void set_color(vga_color fg, vga_color bg) { color = (fg | bg << 4); }