aboutsummaryrefslogtreecommitdiff
path: root/src/vga.h
diff options
context:
space:
mode:
Diffstat (limited to 'src/vga.h')
-rw-r--r--src/vga.h9
1 files changed, 9 insertions, 0 deletions
diff --git a/src/vga.h b/src/vga.h
index 32c152f..7a8c755 100644
--- a/src/vga.h
+++ b/src/vga.h
@@ -1,5 +1,6 @@
#pragma once
#include <stdlib.h>
+#include "ports.h"
class VGA : public Console {
public:
@@ -28,6 +29,10 @@ public:
void set_color(vga_color fg, vga_color bg);
+ void enable_cursor(uint8_t start, uint8_t end);
+ void disable_cursor();
+ void update_cursor() override;
+
void write(char c) override;
void write(ViewIterator& iter) override;
@@ -46,5 +51,9 @@ private:
vga_color color_bg;
Entry* buffer;
+
+ // ports
+ vga_horizontal_total p_3d4;
+ vga_horizontal_display_enable_end p_3d5;
};