aboutsummaryrefslogtreecommitdiff
path: root/src/vga.h
blob: 3052dbc16ad15573e6f8c68c9796276d26b26037 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
#pragma once
#include <stdlib.h>

class VGA : public Console {
public:
  /* Hardware text mode color constants. */
  enum vga_color {
    VGA_COLOR_BLACK = 0,
    VGA_COLOR_BLUE = 1,
    VGA_COLOR_GREEN = 2,
    VGA_COLOR_CYAN = 3,
    VGA_COLOR_RED = 4,
    VGA_COLOR_MAGENTA = 5,
    VGA_COLOR_BROWN = 6,
    VGA_COLOR_LIGHT_GREY = 7,
    VGA_COLOR_DARK_GREY = 8,
    VGA_COLOR_LIGHT_BLUE = 9,
    VGA_COLOR_LIGHT_GREEN = 10,
    VGA_COLOR_LIGHT_CYAN = 11,
    VGA_COLOR_LIGHT_RED = 12,
    VGA_COLOR_LIGHT_MAGENTA = 13,
    VGA_COLOR_LIGHT_BROWN = 14,
    VGA_COLOR_WHITE = 15,
  };

  VGA(vga_color fg = VGA_COLOR_BLACK, vga_color bg = VGA_COLOR_LIGHT_GREY, uint32_t address = 0xB8000);
  ~VGA() = default;

  void write(char c) override;
  void write(ViewIterator& iter) override;

  void set_color(vga_color fg, vga_color bg) { color = (fg | bg << 4); }

private:
  const size_t max_columns = 80, max_rows = 25;
  size_t column = 0, row = 0;
  uint8_t color;
  uint16_t* buffer;
};