aboutsummaryrefslogtreecommitdiff
path: root/src/processor/testdata/module1.out
diff options
context:
space:
mode:
Diffstat (limited to 'src/processor/testdata/module1.out')
-rw-r--r--src/processor/testdata/module1.out12
1 files changed, 12 insertions, 0 deletions
diff --git a/src/processor/testdata/module1.out b/src/processor/testdata/module1.out
new file mode 100644
index 00000000..75d55e38
--- /dev/null
+++ b/src/processor/testdata/module1.out
@@ -0,0 +1,12 @@
+FILE 1 file1_1.cc
+FILE 2 file1_2.cc
+FILE 3 file1_3.cc
+FUNC 1000 Function1_1
+1000 44 1
+1004 45 1
+1008 46 1
+FUNC 1100 Function1_2
+1100 65 2
+1104 66 2
+FUNC 1200 Function1_3
+FUNC 1300 Function1_4